Prototyping systeem gebruik te maken van de DAC schijnwerpers

S

seeya

Guest
Prototyping systeem gebruik te maken van de DAC schijnwerpers
Door Michael Santarini, EE Times
2 juni, 2003 (8:06)
URL: http://www.eedesign.com/story/OEG20030602S0040San Mateo,
Californië - Monterey Design Systems zal preview haar Calypso silicium virtuele prototyping-systeem op de Design Automation Conference deze week.

Monterey gecreëerd door de samenvoeging van de tool zijn Sonar fysieke synthese-instrument met de IC-wizard ontwerp planner opgedaan als het verworven Aristo Technology
Inc gebouwd bovenop een hiërarchische database, de tool combineert design hiërarchische ordening, fysieke synthese en de fysische prototyping.Het vervangt de individuele IC-wizard en Sonar tools.

Dave Reed, Vice President Marketing bij Monterey (Sunnyvale, Californië), zei Calypso is het eerste instrument om ontwerpers van pre-RTL fysieke prototypes tot plaatsing van geoptimaliseerde poorten.

"Bij het ontwerp van architecten zijn het opstellen van hun ontwerpen, zijn ze in wezen blind wat fysieke problemen creëren zij met hun ontwerp-plan", aldus Reed."Calypso zal ontwerp teams met kennis van kritische ontwerp besluiten zeer vroeg in het ontwerp-cyclus."

Getting een sprong op de fysieke problemen met Calypso is toegestaan bèta klanten te creëren ontwerpen 30 procent kleiner en 15 procent sneller en 10 procent minder kostbaar te maken dan de modellen die geen invloed van de technologie, Reed zei.

Modellen van de tapBeta klanten behoren Toshiba, Fujitsu en Ricoh.

In overeenstemming met
de aanpak
van Monterey, Calypso zal worden gebruikt in een opeenvolgende-verfijning methodologie.Ontwerpers zullen naar verwachting gebruik Calypso pre-RTL om modellen van de verschillende blokken en functies in een ontwerp.De modellen zullen worden gespecificeerd in Verilog en zal timing, kracht en ruimte-eisen.

De tool dan vindt een optimale lay-out en laat een ontwerp architect vinden van een optimale mix van tijd, ruimte en macht.

Omdat Calypso fuseert de schatting vermogens van IC Wizard met het ontwerp capaciteiten van Sonar, Reed zei de tool maakt het mogelijk voor het tijdstip en de hiërarchische macht.

Gebruikers kunnen dus optimaliseren van de timing van een pad dat meerdere blokken zonder in te gaan op elk blok en het optimaliseren van ieder subpath binnen het ontwerp
van de timing pad.

Als een vermogen van het spoor wordt uitgebreid op de chip-niveau, Calypso direct en stapsgewijs maatregel het effect op de IR-drop binnen elk van de blokken, Reed zei.

Wanneer een timing een verandering wordt aangebracht, zijn de gebruikers verteld hoe de timing veranderen van invloed op inter-en intrablock IR-drop, en vice versa, zei hij.

Zodra een bevredigende prototype is voltooid, een ontwerp groep kan genereren RTL blokken waaruit de lay-out en dan lopen de blokken door middel van synthese.

Sonar-technologie vervolgens neemt.Gebruikers kunnen doen design planning met Calypso, het optimaliseren van de poorten van het ontwerp.Het hulpprogramma genereert een geoptimaliseerde plaatsing poort-niveau netlist in de industrie standaard formaten, klaar voor een fysieke ontwerp tool.

Calypso begint bij $ 225.000 voor een jaar abonnement.

 

Welcome to EDABoard.com

Sponsor

Back
Top