in modelsim fout 'Failed to parse SDF bestand "

G

gepo

Guest
Hallo, iedereen,
Ik ontmoette een vreemd probleem.
Ten eerste ben ik het genereren van een sdf-bestand met behulp van design samensteller van synopsys "mips_struct.sdf" en structurele bestand mips_struct.v.
Ten tweede, ik gebruik "vsim work.top-novopt-sdftyp / boven / dut = mips_struct.sdf" in modelsim.
Maar ik krijg een foutmelding:

> vsim work.top-novopt-sdftyp / boven / dut = mips_struct.sdf
# Vsim-sdftyp / boven / dut = mips_struct.sdf-novopt work.top
# Laden work.top
# Laden work.mips
# Laden work.controller
# Laden work.alucontrol
# Laden work.datapath
# Laden work.mux2
# Laden work.flopen
# Laden work.flopenr
# Laden work.flop
# Laden work.mux4
# Laden work.regfile
# Laden work.alu
# Laden work.zerodetect
# Laden work.exmemory
# ** Error: (vsim-SDF-3250) mips_struct.sdf (1

<img src="http://www.edaboard.com/images/smiles/icon_cool.gif" alt="Koel" border="0" />

: Failed te vinden AANLEG "/ top/dut/U1262 '.
# ** Error: (vsim-SDF-3250) mips_struct.sdf (19): Failed to vinden AANLEG "/ top/dut/U1262 '.
# ** Error: (vsim-SDF-3250) mips_struct.sdf (20): Failed to vinden AANLEG "/ top/dut/U1262 '.
# ** Error: (vsim-SDF-3250) mips_struct.sdf (21): Failed to vinden AANLEG "/ top/dut/U1261 '.
# ** Error: (vsim-SDF-3250) mips_struct.sdf (22): Failed to vinden AANLEG "/ top/dut/U1261 '.
# ** Waarschuwing: (vsim-SDF-3432) mips_struct.sdf: Dit bestand is waarschijnlijk op de verkeerde instantie.
# Negeren latere ontbrekende exemplaren van dit bestand.
# ** Waarschuwing: (vsim-SDF-3441) mips_struct.sdf:
Fout bij het vinden van 3179 van de 3180 exemplaren van dit bestand.
# ** Error: (vsim-SDF-3445) is mislukt parse SDF bestand "mips_struct.sdf".
# Tijd: 0 ps Iteratie: 0 Regio: / boven file: / home / lv / Desktop / modelsim / mips-test.v

Heeft u ideeën over dit probleem?

 
doen ou compileer de sdf bestand in modelsim?zoek sdfcom commando in modelsim om de sdf-bestand.

 
Nou, ik heb nog steeds geen idee voor de vraag.
Ik heb een sdf-bestand en structurele bestand.Hoe kan ik ze gebruiken in modelsim te simuleren.

Many thanks.

 
van uw eerste bericht, ik zie dat u een lijst van structurele ontwerp-bestand, zoals boven, mips, controller, alucontrol, enz. Ik neem aan dat zijn verilog of VHDL-bestanden.

het SDF-bestand moet worden gebruikt samen met de netlist en de netlist vervangt alle bestanden voor uw ontwerp timing simulatie.

zoals gebruikelijk, alle bestanden moeten worden compileren voordat de modelsim kan gebruikt ze.
de netlist en alle ontwerpen bestand kan compileren met behulp van de vlog of vcom commando.
als u aan het doen zijn gedragsfuncties simulatie, maar het ontwerp-bestand moeten compileren.
als u aan het doen zijn timing simulatie of poort niveau simulatie, de enige van de netlist e compileren en de netlist wordt vervangen al uw ontwerp-bestanden.

voor SDF bestand, sdfcom wordt gebruikt voor sdf bestand compilatie.

na alle bestanden en bibliotheek was compileren dan alleen U laadt de SDF-bestand naar uw ontwerp eenheid weergegeven als "vsim work.top-novopt-sdftyp / boven / dut = mips_struct.sdf" in modelsim.

 
Ja, je hebt gelijk.
dit is mijn stroming:
1.compileren testbench.v en mips_struct.v
deze twee bestanden zijn alle verilog bestanden.mips_struct.v wordt gegenereerd door het ontwerp compiler.mips_sturct.v is een structurele bestand.

2: compileren mips_struct.sdf bestand dat wordt gegenereerd door het ontwerp-compiler
sdfcom mips_struct.sdf mips_struct_output.sdf

3: vsim-novopt-sdftyp / boven / dut / = mips_struct.sdf work.top

daarna, maar er zijn een hoop fouten.

zoals deze:
Fout: (vsim-3033) / home / lv / Desktop / modelsim / mips_struct.v (100): Instantiëring van 'DFFX1'
is mislukt.Het ontwerp werd niet gevonden.
# Streek: / boven / dut
# Gezocht bibliotheken:
# / Home / lv / Desktop / modelsim / werk

en hier "DFFX1" is de naam van de DFF in mijn bibliotheek (mips_lib.db bestand)

Dus moet ik compileer deze bibliotheek bestand?en hoe te compileren?

hartelijk dankToegevoegd na 35 minuten:wanneer de poort niveau boven mislukt, ik doe het register niveau simulatie, maar genereert de volgende fouten.
-------------------------------------------------- -------------------------------------------------- -----------
# ** Error: (vsim-SDF-3250) mips_struct.sdf (1

<img src="http://www.edaboard.com/images/smiles/icon_cool.gif" alt="Koel" border="0" />

: Failed te vinden AANLEG "/ top/dut/U853 '.
# ** Error: (vsim-SDF-3250) mips_struct.sdf (19): Failed to vinden AANLEG "/ top/dut/U852 '.
# ** Error: (vsim-SDF-3250) mips_struct.sdf (20): Failed to vinden AANLEG "/ top/dut/U851 '.
# ** Error: (vsim-SDF-3250) mips_struct.sdf (21): Failed to vinden AANLEG "/ top/dut/U851 '.
# ** Error: (vsim-SDF-3250) mips_struct.sdf (22): Failed to vinden AANLEG "/ top/dut/U850 '.
# ** Waarschuwing: (vsim-SDF-3432) mips_struct.sdf: Dit bestand is waarschijnlijk op de verkeerde instantie.
# Negeren latere ontbrekende exemplaren van dit bestand.
# ** Waarschuwing: (vsim-SDF-3441) mips_struct.sdf:
Fout bij het vinden van 2831 van de 2832 exemplaren van dit bestand.
# ** Error: (vsim-SDF-3445) is mislukt parse SDF bestand "mips_struct.sdf".
# Tijd: 0 ps Iteratie: 0 Regio: / boven file: / home / lv / Desktop / modelsim / mips-test.v
-------------------------------------------------- -------------------------------------------------- -----------

en dit is deel van mijn sdf bestand.
(DELAYFILE
(SDFVERSION "OVI 1.0")
(Design "mips")
(DATE "Do december 11
20:00:56 2008")
(Verkoper "foo")
(PROGRAM "Synopsys Design Compiler CMOS")
(VERSION "Z-2007,03-SP4)
(Divider /)
(Spanning 5.00:5.00:5.00)
(Proces)
(Temperatuur 25.00:25.00:25.00)
(Tijdsbestek 1ns)
(CELL
(CELLTYPE "mips")
(Aanleg)
(DELAY
(Absolute
(InterConnect U384 / U853 Y / A (0.000:0.000:0.000))
(InterConnect U378 / U852 Y / A (0.000:0.000:0.000))
(InterConnect U721 / U851 Y / A (0.000:0.000:0.000))
(InterConnect U797 / U851 Y / B (0.000:0.000:0.000))

U853, U852, U851 zijn inderdaad in het SDF-bestand.Ik weet niet waarom hij zei niet kan vinden.

hartelijk dank.Toegevoegd na 54 minuten:Oooo, sorry, jongens.
Ik maakte een fout.Ik gebruikte de oude sdf bestand plaats de gecompileerde sdf bestand.
vsim-novopt-sdftyp / boven / dut / = mips_struct.sdf
Ik zou het gebruik:
vsim-novopt-sdftyp / boven / dut / = mips_struct_out.sdf

Nu, alle fouten zijn verdwenen.Maar er is nog een ander cruciaal probleem.

hier is de foutberichten:

vsim-novopt-sdftyp / boven / dut / = mips_struct_out.sdf work.top
# Vsim-sdftyp / boven / dut / = mips_struct_out.sdf-novopt work.top
# Laden work.top
# Laden work.mips
# ** Waarschuwing: (vsim-3009) [TSCALE] - Module 'mips "beschikt niet over een" termijn richtlijn in werking, maar vorige modules doen.
# Streek: / boven / dut
# Laden work.DFFX1
# ** Waarschuwing: (vsim-3009) [TSCALE] - Module "DFFX1 'niet over een" tijdsbestek richtlijn in werking, maar vorige modules doen.
# Streek: / top/dut/dp_mdr_q_reg_0_
# Laden work.AOI4
# ** Waarschuwing: (vsim-3009) [TSCALE] - Module "AOI4 'niet over een" tijdsbestek richtlijn in werking, maar vorige modules doen.
# Streek: / top/dut/U2
# Laden work.OAI3
# ** Waarschuwing: (vsim-3009) [TSCALE] - Module "OAI3 'niet over een" tijdsbestek richtlijn in werking, maar vorige modules doen.
# Streek: / top/dut/U3
# Laden work.NOR2X1
# ** Waarschuwing: (vsim-3009) [TSCALE] - Module "NOR2X1 'niet over een" tijdsbestek richtlijn in werking, maar vorige modules doen.
# Streek: / top/dut/U155
# Laden work.NAND3X1
# ** Waarschuwing: (vsim-3009) [TSCALE] - Module "NAND3X1 'niet over een" tijdsbestek richtlijn in werking, maar vorige modules doen.
# Streek: / top/dut/U158
# Laden work.INVX4
# ** Waarschuwing: (vsim-3009) [TSCALE] - Module "INVX4 'niet over een" tijdsbestek richtlijn in werking, maar vorige modules doen.
# Streek: / top/dut/U153
# Laden work.NAND2X2
# ** Waarschuwing: (vsim-3009) [TSCALE] - Module "NAND2X2 'niet over een" tijdsbestek richtlijn in werking, maar vorige modules doen.
# Streek: / top/dut/U175
# Laden work.NAND2X1
# ** Waarschuwing: (vsim-3009) [TSCALE] - Module "NAND2X1 'niet over een" tijdsbestek richtlijn in werking, maar vorige modules doen.
# Streek: / top/dut/U166
# Laden work.INVX1
# ** Waarschuwing: (vsim-3009) [TSCALE] - Module "INVX1 'niet over een" tijdsbestek richtlijn in werking, maar vorige modules doen.
# Streek: / top/dut/U414
# Laden work.BUFX8
# ** Waarschuwing: (vsim-3009) [TSCALE] - Module "BUFX8 'niet over een" tijdsbestek richtlijn in werking, maar vorige modules doen.
# Streek: / top/dut/U715
# Laden work.BUFX4
# ** Waarschuwing: (vsim-3009) [TSCALE] - Module "BUFX4 'niet over een" tijdsbestek richtlijn in werking, maar vorige modules doen.
# Streek: / top/dut/U772
# Laden work.TIEHI
# ** Waarschuwing: (vsim-3009) [TSCALE] - Module "TIEHI 'niet over een" tijdsbestek richtlijn in werking, maar vorige modules doen.
# Streek: / top/dut/U806
# ** Error: (vsim-3006) / home / lv / Desktop / modelsim / mips-test.v (10): Te veel erfelijke aanleg module parameters.
# Streek: / boven
# Laden work.exmemory
# Fout bij het laden van het ontwerp
-------------------------------------------------- ---

inhoud van exmemory module:
module exmemory # (parameter WIDTH =

<img src="http://www.edaboard.com/images/smiles/icon_cool.gif" alt="Koel" border="0" />(input clk,
input memwrite,
input [WIDTH-1: 0] adr, writedata,
output reg [WIDTH-1: 0] memdata);

reg [31:0] RAM [(1 <<WIDTH-2) -1:0];
wire [31:0] woord;

aanvankelijke
beginnen
$ readmemh ( "memfile.dat", RAM);
eindigen

altijd @ (posedge clk)
if (memwrite)
zaak (adr [1:0])
2'b00: RAM [adr>> 2] [31:24] <= writedata;
2'b01: RAM [adr>> 2] [23:16] <= writedata;
2'b10: RAM [adr>> 2] [15:8] <= writedata;
2'b11: RAM [adr>> 2] [7:0] <= writedata;
ENDCASE

toewijzen woord = RAM [adr>> 2];
altijd @ (*)
zaak (adr [1:0])
2'b00: memdata <= woord [7:0];
2'b01: memdata <= woord [15:8];
2'b10: memdata <= woord [23:16];
2'b11: memdata <= woord [31:24];
ENDCASE
endmodule

 
u probeert toe te voegen "-t ps" in uw vsim commando en probeer

 
lijkt ur met behulp van een student versie van modelsim ...
de termijn is verschillend in ur testbench en in ur netlist bestand.
voeg de juiste tijd in ur top level bestand tat u simuleren (ur tb boven) en het moet van toepassing zijn op het blad niveau ook, tenzij zij daar beschreven.
Zorg ervoor dat ur compileren ur bibliotheek (zeg 65nm.v bestand), samen met ur netlist ....
slechts een suggestie.
een deel van ur SDF bevat 0 vertragingen (00:00:00)
Zorg ervoor dat u got ur sdf recht ....Groeten
Srinivas

 

Welcome to EDABoard.com

Sponsor

Back
Top