hoe te gebruiken blokkeren RAM

R

Ravindra Kalla

Guest
Kan iemand TELL ME HOW TO USE Bram in XILINX.
Stuur me goede referenties.

Gelieve ook te vertellen hoe BEWAART enige waarde in BRAM.
Dank
HAVE A NICE DAY

 
HI THIS IS EASY ga je gewoon naar XST HANDLEIDING en zie de HDL-codering technieken sectie vindt u veel voorbeelden wat er met het initialiseren BRAM ... er is ook een application note over Bram in Xilinx website.

 
Blok ram kan worden geïnstantieerd op twee manieren.
een is met behulp van de kern generator die door de Xilinx.
De andere manier is om het onderdeel van instantiëren unisim bibliotheek.
I'have gedaan het tweede en het werkt goed.
eerste naar u go ur Xilinx map die komt wanneer u instantiëren Xilinx software.
dan goto map VHDL (of vhdl_src) in.
er u'll vinden unisim code bestand
U compileren dat en het nemen als een bibliotheek.
nu komt deze code zal werken. Dit is een 512 x 8 RAM-geheugen. u voor meer informatie zie de Xilinx online documentatie.

[/ code]
- gegevens met behulp van unisim blok geheugen ram
library IEEE;
gebruik ieee.std_logic_1164.all;
bibliotheek unisim;
gebruik unisim.all;
entiteit dmemory is
poort (read_data: out STD_LOGIC_VECTOR (7 Downto 0);
adres: in STD_LOGIC_VECTOR (7 Downto 0);
klok, reset: in STD_ULOGIC;
WRITE_DATA: in STD_LOGIC_VECTOR (7 Downto 0);
memread,: memwrite in STD_LOGIC);
einde dmemory;

architectuur voorbeeld van dmemory is
component RAMB4_S8
generic (
INIT_00,
INIT_01,
INIT_02,
INIT_03,
INIT_04,
INIT_05,
INIT_06,
INIT_07,
INIT_08,
INIT_09,
INIT_0A,
INIT_0B,
INIT_0C,
INIT_0D,
INIT_0E,
INIT_0F: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000"
)

poort (WE, EN, RST, CLK: in std_logic;
ADDR: in STD_LOGIC_VECTOR (8 Downto 0);
DI: in std_logic_vector (7 Downto 0);
DO: out std_logic_vector (7 Downto 0));
end component;

signaal logic0, logic1: std_logic;
signaal temp wij: std_logic;
signaal Address1: std_logic_vector (8 Downto 0);
signal clk: std_logic;

beginnen

logic1 <= niet gereset;
temp <= memwrite XOR memread;
we <= temp en (memwrite en (niet memread));
address1 <= '0 '& adres;
clk <= not klok;
RAMB4_S8_INSTANCE_NAME: RAMB4_S8

generic map (
INIT_00 => X "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123450808050406",
INIT_01 => X "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF",
INIT_02 => X "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF",
INIT_03 => X "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF",
INIT_04 => X "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF",
INIT_05 => X "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF",
INIT_06 => X "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF",
INIT_07 => X "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF",
INIT_08 => X "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210",
INIT_09 => X "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210",
INIT_0A => X "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210",
INIT_0B => X "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210",
INIT_0C => X "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210",
INIT_0D => X "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210",
INIT_0E => X "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210",
INIT_0F => X "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210")
poort kaart (WE => wij, NL => logic1, RST => reset, CLK => clk, ADDR => address1, DO => read_data, DI => WRITE_DATA);
einde aanleg;

Code:

 
hoi,
Xilnx ISE's Taal Template netjes wordt uitgelegd hoe u de BRAM gebruik in ur ontwerp.
Dus check dat uit

 
kassa in de XST handleiding (onderwerp: HDL-codering technieken).Het is gelegen in Xilinx installatie map in docs.

 
Heeft een van jullie weet hoe initialiseren opzoeken tabellen???te gebruiken als geheugen??

 
wanneer u gebruik Xilinx ISE, dan gewoon COREGENERATOR gebruiken, is het de meest eenvoudigste en de meest optimale methode denk ik .....geven u alle opties die u kunnen denken van ...

 
Wat is de kern generator aub .... het genereert IP .... is dat niet recht ...???
is het gratis???kan worden gedownload van Xilinx site
bedankt
Salma: D

 
vahidkh6222 wrote:

wanneer u gebruik Xilinx ISE, dan gewoon COREGENERATOR gebruiken, is het de meest eenvoudigste en de meest optimale methode denk ik .....
geven u alle opties die u kunnen denken van ...
 
Salma Ali Bakr wrote:

Wat is de kern generator aub .... het genereert IP .... is dat niet recht ...???

is het gratis???
kan worden gedownload van Xilinx site

bedankt

Salma: D
 

Welcome to EDABoard.com

Sponsor

Back
Top